24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

UM-32 1 - Introduction<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

15 - Functional coverage with PSL <strong>and</strong> <strong>ModelSim</strong> (UM-383)<br />

This chapter describes how to measure functional coverage with PSL cover directives.<br />

16 - C Debug (UM-399)<br />

This chapter describes C Debug, a graphic interface to the gdb debugger that can be<br />

used to debug FLI/PLI/VPI/SystemC C/C++ source code.<br />

17 - Signal Spy (UM-417)<br />

This chapter describes Signal Spy, a set of VHDL procedures <strong>and</strong> Verilog system tasks<br />

that let you monitor, drive, force, or release a design object from anywhere in the<br />

hierarchy of a VHDL or mixed design.<br />

18 - St<strong>and</strong>ard Delay Format (SDF) Timing Annotation (UM-439)<br />

This chapter discusses <strong>ModelSim</strong>’s implementation of SDF (St<strong>and</strong>ard Delay Format)<br />

timing annotation. Included are sections on VITAL SDF <strong>and</strong> Verilog SDF, plus<br />

troubleshooting.<br />

19 - Value Change Dump (VCD) Files (UM-455)<br />

This chapter explains Model Technology’s Verilog VCD implementation for<br />

<strong>ModelSim</strong>. The VCD usage is extended to include VHDL designs.<br />

20 - Tcl <strong>and</strong> macros (DO files) (UM-471)<br />

This chapter provides an overview of Tcl (tool comm<strong>and</strong> language) as used with<br />

<strong>ModelSim</strong>.<br />

A - <strong>ModelSim</strong> GUI changes (UM-499)<br />

This appendix describes what has changed in <strong>ModelSim</strong> from version 5.8 to version<br />

6.0. This includes a description of the new Multiple Documentation Interface (MDI)<br />

frame, increased menu context sensitivity, <strong>and</strong> menu selection changes.<br />

B - <strong>ModelSim</strong> variables (UM-519)<br />

This appendix describes environment, system, <strong>and</strong> preference variables used in<br />

<strong>ModelSim</strong>.<br />

C - Error <strong>and</strong> warning messages (UM-545)<br />

This appendix describes <strong>ModelSim</strong> error <strong>and</strong> warning messages.<br />

D - Verilog PLI / VPI / DPI (UM-559)<br />

This appendix describes the <strong>ModelSim</strong> implementation of the Verilog PLI <strong>and</strong> VPI.<br />

E - <strong>ModelSim</strong> shortcuts (UM-603)<br />

This appendix describes <strong>ModelSim</strong> keyboard <strong>and</strong> mouse shortcuts.<br />

F - System initialization (UM-611)<br />

This appendix describes what happens during <strong>ModelSim</strong> startup.<br />

G - Logic Modeling SmartModels (UM-617)<br />

This appendix describes the use of the SmartModel Library <strong>and</strong> SmartModel Windows<br />

with <strong>ModelSim</strong>.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!