24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Index<br />

location maps, referencing source files UM-67<br />

locations maps<br />

specifying source files with UM-67<br />

lock message UM-551<br />

locking cursors UM-245<br />

log comm<strong>and</strong> CR-191<br />

log file<br />

log comm<strong>and</strong> CR-191<br />

nolog comm<strong>and</strong> CR-209<br />

overview UM-225<br />

QuickSim II format CR-413<br />

redirecting with -l CR-377<br />

virtual log comm<strong>and</strong> CR-343<br />

virtual nolog comm<strong>and</strong> CR-346<br />

see also WLF files<br />

Logic Modeling<br />

SmartModel<br />

comm<strong>and</strong> channel UM-622<br />

SmartModel Windows<br />

lmcwin comm<strong>and</strong>s UM-623<br />

memory arrays UM-624<br />

long simulations<br />

saving at intervals UM-231<br />

lshift comm<strong>and</strong> CR-193<br />

lsublist comm<strong>and</strong> CR-194<br />

M<br />

Macro dialog GR-102<br />

macro_option comm<strong>and</strong> CR-195<br />

MacroNestingLevel simulator state variable UM-542<br />

macros (DO files) UM-487<br />

breakpoints, executing at CR-76<br />

creating from a saved transcript GR-17<br />

depth of nesting, simulator state variable UM-542<br />

error h<strong>and</strong>ling UM-490<br />

executing CR-151<br />

forcing signals, nets, or registers CR-180<br />

parameters<br />

as a simulator state variable (n) UM-542<br />

passing CR-151, UM-487<br />

total number passed UM-542<br />

relative directories CR-151<br />

shifting parameter values CR-266<br />

Startup macros UM-538<br />

.main clear comm<strong>and</strong> CR-43<br />

Main window GR-14<br />

code coverage UM-340<br />

GUI changes UM-500<br />

see also windows, Main window<br />

manuals UM-35<br />

mapping<br />

data types UM-193<br />

libraries<br />

from the comm<strong>and</strong> line UM-62<br />

hierarchically UM-537<br />

symbols<br />

Dataflow window UM-313<br />

SystemC in mixed designs UM-202<br />

SystemC to Verilog UM-199<br />

SystemC to VHDL UM-202<br />

Verilog states in mixed designs UM-194<br />

Verilog states in SystemC designs UM-198<br />

Verilog to SytemC, port <strong>and</strong> data types UM-198<br />

Verilog to VHDL data types UM-193<br />

VHDL to SystemC UM-196<br />

VHDL to Verilog data types UM-195<br />

mapping libraries, library mapping UM-62<br />

mapping signals, waveform editor GR-295<br />

master slave library (SystemC), including CR-256<br />

math_complex package UM-65<br />

math_real package UM-65<br />

+maxdelays CR-362<br />

mc_scan_plusargs()<br />

using with an elaboration file UM-84, UM-140<br />

mc_scan_plusargs, PLI routine CR-388<br />

MDI frame UM-501, GR-17<br />

MDI pane<br />

tab groups GR-18<br />

mem display comm<strong>and</strong> CR-196<br />

mem list comm<strong>and</strong> CR-198<br />

mem load comm<strong>and</strong> CR-199<br />

mem save comm<strong>and</strong> CR-202<br />

mem search comm<strong>and</strong> CR-204<br />

memories<br />

displaying the contents of GR-169<br />

initializing GR-175<br />

loading memory patterns GR-175<br />

MTI memory data file GR-178<br />

MTI’s definition of GR-170<br />

navigating to memory locations GR-182<br />

saving memory data to a file GR-177<br />

selecting memory instances GR-171<br />

sparse memory modeling UM-156<br />

viewing contents GR-171<br />

viewing multiple instances GR-171<br />

memory<br />

modeling in VHDL UM-101<br />

memory allocation profiler UM-318<br />

Memory Declaration, View menu UM-513<br />

memory leak, cancelling scheduled events UM-108

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!