24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

UM-204 7 - Mixed-language simulation<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

The Verilog module, port, or parameter names are not unique unless case is preserved. In<br />

this event, vgencomp (CR-330) behaves as if the module was compiled with the -93<br />

switch for those names only.<br />

If you use Verilog identifiers where the names are unique by case only, use the -93<br />

argument when compiling mixed-language designs.<br />

Examples<br />

Verilog identifier VHDL identifier<br />

topmod topmod<br />

TOPMOD topmod<br />

TopMod topmod<br />

top_mod top_mod<br />

_topmod \_topmod\<br />

\topmod topmod<br />

\\topmod\ \topmod\<br />

If the Verilog module is compiled with -93:<br />

Verilog identifier VHDL identifier<br />

topmod topmod<br />

TOPMOD \TOPMOD\<br />

TopMod \TopMod\<br />

top_mod top_mod<br />

_topmod \_topmod\<br />

\topmod topmod<br />

\\topmod\ \topmod\<br />

vgencomp component declaration<br />

vgencomp (CR-330) generates a component declaration according to these rules:<br />

Generic clause<br />

A generic clause is generated if the module has parameters. A corresponding generic is<br />

defined for each parameter that has an initial value that does not depend on any other<br />

parameters.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!