24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Arguments<br />

Related procedures<br />

Limitations<br />

Name Type Description<br />

src_object string Required. A full hierarchical path (or relative<br />

path with reference to the calling block) to a<br />

VHDL signal or Verilog register/net. Use the<br />

path separator to which your simulation is set<br />

(i.e., "/" or "."). A full hierarchical path must<br />

begin with a "/" or ".". The path must be<br />

contained within double quotes.<br />

dest_object string Required. A full hierarchical path (or relative<br />

path with reference to the calling block) to an<br />

existing VHDL signal or Verilog register. Use<br />

the path separator to which your simulation is<br />

set (i.e., "/" or "."). A full hierarchical path<br />

must begin with a "/" or ".". The path must be<br />

contained within double quotes.<br />

verbose integer Optional. Possible values are 0 or 1. Specifies<br />

whether you want a message reported in the<br />

Transcript stating that the src_object’s value<br />

is mirrored onto the dest_object. Default is 0,<br />

no message.<br />

init_signal_driver (UM-419), In this example, the value of /top/uut/inst1/sig1 is mirrored<br />

onto /top/top_sig1. signal_force (UM-425), signal_release (UM-427)<br />

init_signal_spy UM-423<br />

When mirroring the value of a Verilog regist er/net onto a VHDL signal, the VHDL signal<br />

must be of type bit, bit_vector, std_logic, or std_logic_vector.<br />

Verilog memories (arrays of registers) are not supported.<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!