24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

UM-428 17 - Signal Spy<br />

Example<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

library IEEE, modelsim_lib;<br />

use IEEE.std_logic_1164.all;<br />

use modelsim_lib.util.all;<br />

entity testbench is<br />

end;<br />

architecture only of testbench is<br />

signal release_flag : std_logic;<br />

begin<br />

stim_design : process<br />

begin<br />

...<br />

wait until release_flag = '1';<br />

signal_release("/testbench/dut/blk1/data", 1);<br />

signal_release("/testbench/dut/blk1/clk", 1);<br />

...<br />

end process stim_design;<br />

...<br />

end;<br />

The above example releases any forces on the signals data <strong>and</strong> clk when the signal<br />

release_flag is a "1". Both calls will send a message to the transcript stating which signal<br />

was released <strong>and</strong> when.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!