24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Compiling <strong>and</strong> simulating functional coverage directives UM-385<br />

Compiling <strong>and</strong> simulating functional coverage directives<br />

You compile <strong>and</strong> simulate functional coverage directives just as you do other PSL<br />

assertions. In short, if the assertions are embedded, they are compiled automatically. If the<br />

assertions are in an external file, use the -pslfile argument to vlog or vcom. Compiled<br />

assertions are read by the simulator automatically.<br />

See "Compiling <strong>and</strong> simulating assertions" (UM-375) in Chapter 14 - PSL Assertions for<br />

more details.<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!