24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

UM-630 H - Logic Modeling hardware models<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

end;<br />

A1 : in std_logic;<br />

A2 : in std_logic;<br />

A3 : in std_logic;<br />

A4 : in std_logic;<br />

A5 : in std_logic;<br />

A6 : in std_logic;<br />

A7 : in std_logic;<br />

A8 : in std_logic;<br />

A9 : in std_logic;<br />

A10 : in std_logic;<br />

A11 : in std_logic;<br />

A12 : in std_logic;<br />

A13 : in std_logic;<br />

A14 : in std_logic;<br />

A15 : in std_logic;<br />

CS : in std_logic;<br />

O0 : out std_logic;<br />

O1 : out std_logic;<br />

O2 : out std_logic;<br />

O3 : out std_logic;<br />

O4 : out std_logic;<br />

O5 : out std_logic;<br />

O6 : out std_logic;<br />

O7 : out std_logic;<br />

W : inout std_logic );<br />

architecture Hardware of cy7c285 is<br />

attribute FOREIGN : STRING;<br />

attribute FOREIGN of Hardware : architecture is<br />

"hm_init $MODEL_TECH/libhm.sl ; CY7C285.MDL";<br />

begin<br />

end Hardware;<br />

Entity details<br />

The entity name is the hardware model name (you can manually change this name if you<br />

like).<br />

The port names are the same as the hardware model port names (these names must not be<br />

changed). If the hardware model port name is not a valid VHDL identifier, then<br />

hm_entity issues an error message. If hm_entity is invoked with the -93 option, then the<br />

identifier is converted to an extended identifier, <strong>and</strong> the resulting entity must also be<br />

compiled with the -93 option. Another option is to create a pin-name mapping file.<br />

Consult the Logic Modeling documentation from Synopsys for details.<br />

The port types are std_logic. This data type supports the full range of hardware model<br />

logic states.<br />

The DelayRange generic selects minimum, typical, or maximum delay values. Valid<br />

values are "min", "typ", or "max" (the strings are not case-sensitive). The default is<br />

"max".

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!