24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

UM-628 H - Logic Modeling hardware models<br />

VHDL hardware model interface<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

<strong>ModelSim</strong> VHDL interfaces to a hardware model through a foreign architecture. The<br />

foreign architecture contains a foreign attribute string that associates a specific hardware<br />

model with the architecture. On elaboration of the foreign architecture, the simulator<br />

automatically loads the hardware modeler software <strong>and</strong> establishes communication with<br />

the specific hardware model.<br />

The <strong>ModelSim</strong> software locates the hardware modeler interface software based on entries<br />

in the modelsim.ini initialization file. The simulator <strong>and</strong> the hm_entity tool (for creating<br />

foreign architectures) both depend on these entries being set correctly. These entries are<br />

found under the [lmc] section of the default modelsim.ini file located in the <strong>ModelSim</strong><br />

installation directory. The default settings are as follows:<br />

[lmc]<br />

; <strong>ModelSim</strong>'s interface to Logic Modeling's hardware modeler SFI software<br />

libhm = $MODEL_TECH/libhm.sl<br />

; <strong>ModelSim</strong>'s interface to Logic Modeling's hardware modeler SFI software<br />

(Windows NT)<br />

; libhm = $MODEL_TECH/libhm.dll<br />

; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)<br />

; libsfi = /lib/hp700/libsfi.sl<br />

; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)<br />

; libsfi = /lib/rs6000/libsfi.a<br />

; Logic Modeling's hardware modeler SFI software (Sun4 Solaris)<br />

; libsfi = /lib/sun4.solaris/libsfi.so<br />

; Logic Modeling's hardware modeler SFI software (Window NT)<br />

; libsfi = /lib/pcnt/lm_sfi.dll<br />

; Logic Modeling's hardware modeler SFI software (Linux)<br />

; libsfi = /lib/linux/libsfi.so<br />

The libhm entry points to the <strong>ModelSim</strong> dynamic link library that interfaces the foreign<br />

architecture to the hardware modeler software. The libsfi entry points to the Logic<br />

Modeling dynamic link library software that accesses the hardware modeler. The simulator<br />

automatically loads both the libhm <strong>and</strong> libsfi libraries when it elaborates a hardware model<br />

foreign architecture.<br />

By default, the libhm entry points to the libhm.sl supplied in the <strong>ModelSim</strong> installation<br />

directory indicated by the MODEL_TECH environment variable. <strong>ModelSim</strong> automatically<br />

sets the MODEL_TECH environment variable to the appropriate directory containing the<br />

executables <strong>and</strong> binaries for the current operating system. If you are running the Windows<br />

operating system, then you must comment out the default libhm entry (precede the line<br />

with the ";" character) <strong>and</strong> uncomment the libhm entry for the Windows operating system.<br />

Uncomment the appropriate libsfi entry for your operating system, <strong>and</strong> replace <br />

with the path to the hardware modeler software installation directory. In addition, you must<br />

set the LM_LIB <strong>and</strong> LM_DIR environment variables as described in Logic Modeling<br />

documentation from Synopsys.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!