24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

UM-436 17 - Signal Spy<br />

$signal_release<br />

Syntax<br />

Returns<br />

Arguments<br />

Related tasks<br />

Limitations<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

The $signal_release() system task releases any force that was applied to an existing VHDL<br />

signal or Verilog register/net (called the dest_object). This allows you to release signals,<br />

registers, or nets at any level of the design hierarchy from within a Verilog module (e.g., a<br />

testbench).<br />

A $signal_release works the same as the noforce comm<strong>and</strong> (CR-208). $signal_release can<br />

be called concurrently or sequentially in a process.<br />

Nothing<br />

$signal_release( dest_object, verbose )<br />

Name Type Description<br />

dest_object string Required. A full hierarchical path (or relative<br />

path with reference to the calling block) to an<br />

existing VHDL signal or Verilog register/net.<br />

Use the path separator to which your<br />

simulation is set (i.e., "/" or "."). A full<br />

hierarchical path must begin with a "/" or ".".<br />

The path must be contained within double<br />

quotes.<br />

verbose integer Optional. Possible values are 0 or 1. Specifies<br />

whether you want a message reported in the<br />

Transcript stating that the signal is being<br />

released <strong>and</strong> the time of the release. Default is<br />

0, no message.<br />

$init_signal_driver (UM-429), $init_signal_spy (UM-432), $signal_force (UM-434)<br />

You cannot release a bit or slice of a register; you can release only the entire register.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!