24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

UM-622 G - Logic Modeling SmartModels<br />

Comm<strong>and</strong> channel<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

A2 => A(2),<br />

A3 => A(3),<br />

A4 => A(4),<br />

A5 => A(5),<br />

A6 => A(6),<br />

A7 => A(7),<br />

A8 => A(8),<br />

A9 => A(9),<br />

A10 => A(10),<br />

A11 => A(11),<br />

A12 => A(12),<br />

A13 => A(13),<br />

A14 => A(14),<br />

A15 => A(15),<br />

CS => CS,<br />

O0 => O(0),<br />

O1 => O(1),<br />

O2 => O(2),<br />

O3 => O(3),<br />

O4 => O(4),<br />

O5 => O(5),<br />

O6 => O(6),<br />

O7 => O(7),<br />

WAIT_PORT => WAIT_PORT );<br />

The comm<strong>and</strong> channel is a SmartModel feature that lets you invoke SmartModel specific<br />

comm<strong>and</strong>s. These comm<strong>and</strong>s are documented in the SmartModel library documentation<br />

from Synopsys. <strong>ModelSim</strong> provides access to the Comm<strong>and</strong> Channel from the comm<strong>and</strong><br />

line. The form of a SmartModel comm<strong>and</strong> is:<br />

lmc |-all ""<br />

The instance_name argument is either a full hierarchical name or a relative name of a<br />

SmartModel instance. A relative name is relative to the current environment setting (see<br />

environment comm<strong>and</strong> (CR-161)). For example, to turn timing checks off for SmartModel<br />

/top/u1:<br />

lmc /top/u1 "SetConstraints Off"<br />

Use -all to apply the comm<strong>and</strong> to all SmartModel instances. For example, to turn timing<br />

checks off for all SmartModel instances:<br />

lmc -all "SetConstraints Off"<br />

There are also some SmartModel comm<strong>and</strong>s that apply globally to the current simulation<br />

session rather than to models. The form of a SmartModel session comm<strong>and</strong> is:<br />

lmcsession ""

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!