24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

UM-30 1 - Introduction<br />

St<strong>and</strong>ards supported<br />

Assumptions<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

<strong>ModelSim</strong> VHDL implements the VHDL language as defined by IEEE St<strong>and</strong>ards<br />

1076-1987, 1076-1993, <strong>and</strong> 1076-2002. <strong>ModelSim</strong> also supports the 1164-1993 St<strong>and</strong>ard<br />

Multivalue Logic System for VHDL Interoperability, <strong>and</strong> the 1076.2-1996 St<strong>and</strong>ard VHDL<br />

Mathematical Packages st<strong>and</strong>ards. Any design developed with <strong>ModelSim</strong> will be<br />

compatible with any other VHDL system that is compliant with the 1076 specs.<br />

<strong>ModelSim</strong> Verilog implements the Verilog language as defined by the IEEE Std 1364-1995<br />

<strong>and</strong> 1364-2001. <strong>ModelSim</strong> Verilog also supports a partial implementation of<br />

SystemVerilog 3.1, Accellera’s Extensions to Verilog® (see //modeltech/<br />

docs/technotes/svlog.note for implementation details). The Open Verilog International<br />

Verilog LRM version 2.0 is also applicable to a large extent. Both PLI (Programming<br />

Language Interface) <strong>and</strong> VCD (Value Change Dump) are supported for <strong>ModelSim</strong> PE <strong>and</strong><br />

<strong>SE</strong> users.<br />

In addition, all products support SDF 1.0 through 3.0, VITAL 2.2b, VITAL’95 – IEEE<br />

1076.4-1995, <strong>and</strong> VITAL 2000 – IEEE 1076.4-2000.<br />

<strong>ModelSim</strong> implements the SystemC language based on the Open SystemC Initiative<br />

(OSCI) SystemC 2.0.1 reference simulator.<br />

<strong>ModelSim</strong> implements the simple subset of Accellera’s Property Specification Language<br />

(PSL) version 1.1.<br />

We assume that you are familiar with the use of your operating system <strong>and</strong> its graphical<br />

interface.<br />

We also assume that you have a working knowledge of VHDL, Verilog, <strong>and</strong>/or SystemC.<br />

Although <strong>ModelSim</strong> is an excellent tool to use while learning HDL concepts <strong>and</strong> practices,<br />

this document is not written to support that goal.<br />

Finally, we assume that you have worked the appropriate lessons in the <strong>ModelSim</strong> Tutorial<br />

<strong>and</strong> are familiar with the basic functionality of <strong>ModelSim</strong>. The <strong>ModelSim</strong> Tutorial is<br />

available from the <strong>ModelSim</strong> Help menu. The <strong>ModelSim</strong> Tutorial is also available from the<br />

Support page of our web site: www.model.com.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!