24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

A VCD file from source to output<br />

VHDL source code<br />

VCD simulator comm<strong>and</strong>s<br />

A VCD file from source to output UM-463<br />

The following example shows the VHDL source, a set of simulator comm<strong>and</strong>s, <strong>and</strong> the<br />

resulting VCD output.<br />

The design is a simple shifter device represented by the following VHDL source code:<br />

library IEEE;<br />

use IEEE.STD_LOGIC_1164.all;<br />

entity SHIFTER_MOD is<br />

port (CLK, RE<strong>SE</strong>T, data_in : IN STD_LOGIC;<br />

Q : INOUT STD_LOGIC_VECTOR(8 downto 0));<br />

END SHIFTER_MOD ;<br />

architecture RTL of SHIFTER_MOD is<br />

begin<br />

process (CLK,RE<strong>SE</strong>T)<br />

begin<br />

if (RE<strong>SE</strong>T = '1') then<br />

Q '0') ;<br />

elsif (CLK'event <strong>and</strong> CLK = '1') then<br />

Q

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!