24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

SystemC VHDL<br />

sc_int, sc_uint bit_vector(width-1 downto 0)<br />

char, unsigned char bit_vector(7 downto 0)<br />

int, unsigned int bit_vector(31 downto 0)<br />

long, unsigned long bit_vector(31 downto 0)<br />

sc_bigint,<br />

sc_biguint<br />

sc_fixed,<br />

sc_ufixed<br />

Port direction mapping<br />

Not supported on language boundary<br />

Not supported on language boundary<br />

short, unsigned short Not supported on language boundary<br />

long long, unsigned long Not supported on language boundary<br />

float Not supported on language boundary<br />

double Not supported on language boundary<br />

enum Not supported on language boundary<br />

pointers Not supported on language boundary<br />

class Not supported on language boundary<br />

structure Not supported on language boundary<br />

union Not supported on language boundary<br />

bit_fields Not supported on language boundary<br />

VHDL port directions are mapped to SystemC as follows:<br />

VHDL SystemC<br />

in sc_in, sc_in_resolved, sc_in_rv<br />

out sc_out, sc_out_resolved, sc_out_rv<br />

inout sc_inout, sc_inout_resolved,<br />

sc_inout_rv<br />

buffer sc_out, sc_out_resolved, sc_out_rv<br />

Mapping data types UM-201<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!