24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

UM-434 17 - Signal Spy<br />

$signal_force<br />

Syntax<br />

Returns<br />

Arguments<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong><br />

The $signal_force() system task forces the value specified onto an existing VHDL signal<br />

or Verilog register/net (called the dest_object). This allows you to force signals, registers,<br />

or nets at any level of the design hierarchy from within a Verilog module (e.g., a testbench).<br />

A $signal_force works the same as the force comm<strong>and</strong> (CR-180) with the exception that you<br />

cannot issue a repeating force. The force will remain on the signal until a $signal_release,<br />

a force or release comm<strong>and</strong>, or a subsequent $signal_force is issued. $signal_force can be<br />

called concurrently or sequentially in a process.<br />

$signal_force( dest_object, value, rel_time, force_type, cancel_period,<br />

verbose )<br />

Nothing<br />

Name Type Description<br />

dest_object string Required. A full hierarchical path (or relative<br />

path with reference to the calling block) to an<br />

existing VHDL signal or Verilog register/net.<br />

Use the path separator to which your<br />

simulation is set (i.e., "/" or "."). A full<br />

hierarchical path must begin with a "/" or ".".<br />

The path must be contained within double<br />

quotes.<br />

value string Required. Specifies the value to which the<br />

dest_object is to be forced. The specified<br />

value must be appropriate for the type.<br />

rel_time integer, real, or<br />

time<br />

Optional. Specifies a time relative to the<br />

current simulation time for the force to occur.<br />

The default is 0.<br />

force_type integer Optional. Specifies the type of force that will<br />

be applied. The value must be one of the<br />

following; 0 (default), 1 (deposit), 2 (drive),<br />

or 3 (freeze). The default is "default" (which is<br />

"freeze" for unresolved objects or "drive" for<br />

resolved objects). See the force comm<strong>and</strong><br />

(CR-180) for further details on force type.

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!