24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Special considerations for the now variable<br />

Simulator state variables UM-543<br />

For the when comm<strong>and</strong> (CR-407), special processing is performed on comparisons<br />

involving the now variable. If you specify "when {$now=100}...", the simulator will stop<br />

at time 100 regardless of the multiplier applied to the time resolution.<br />

You must use 64-bit time operators if the time value of now will exceed 2147483647 (the<br />

limit of 32-bit numbers). For example:<br />

if { [gtTime $now 2us] } {<br />

.<br />

.<br />

.<br />

See "<strong>ModelSim</strong> Tcl time comm<strong>and</strong>s" (UM-481) for details on 64-bit time operators.<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!