24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Comparing hierarchical <strong>and</strong> flattened designs<br />

Waveform Compare UM-283<br />

If you are comparing a hierarchical RTL design simulation against a flattened synthesized<br />

design simulation, you may have different hierarchies, different signal names, <strong>and</strong> the<br />

buses may be broken down into one-bit signals in the gate-level design. All of these<br />

differences can be h<strong>and</strong>led by <strong>ModelSim</strong>’s Waveform Compare feature.<br />

If the test design is hierarchical but the hierarchy is different from the hierarchy of the<br />

reference design, you can use the compare add comm<strong>and</strong> (CR-94) to specify which<br />

region path in the test design corresponds to that in the reference design.<br />

If the test design is flattened <strong>and</strong> test signal names are different from reference signal<br />

names, the compare add comm<strong>and</strong> (CR-94) allows you to specify which signal in the test<br />

design will be compared to which signal in the reference design.<br />

If, in addition, buses have been dismantled, or "bit-blasted", you can use the -rebuild<br />

option of the compare add comm<strong>and</strong> (CR-94) to automatically rebuild the bus in the test<br />

design. This will allow you to look at the differences as one bus versus another.<br />

If signals in the RTL test design are different in type from the synthesized signals in the<br />

reference design – registers versus nets, for example – the Waveform Compare feature will<br />

automatically do the type conversion for you. If the type differences are too extreme (say<br />

integer versus real), Waveform Compare will let you know.<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!