24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

19 - Value Change Dump (VCD) Files<br />

Chapter contents<br />

Creating a VCD file . . . . . . . . . . . . . UM-456<br />

Flow for four-state VCD file . . . . . . . . . . UM-456<br />

Flow for extended VCD file . . . . . . . . . . UM-456<br />

Case sensitivity . . . . . . . . . . . . . UM-456<br />

Checkpoint/restore <strong>and</strong> writing VCD files . . . . . . UM-457<br />

Using extended VCD as stimulus . . . . . . . . . . UM-458<br />

Simulating with input values from a VCD file . . . . . UM-458<br />

Replacing instances with output values from a VCD file. . . UM-459<br />

<strong>ModelSim</strong> VCD comm<strong>and</strong>s <strong>and</strong> VCD tasks . . . . . . . UM-461<br />

Compressing files with VCD tasks . . . . . . . . UM-462<br />

A VCD file from source to output . . . . . . . . . . UM-463<br />

VHDL source code . . . . . . . . . . . . UM-463<br />

VCD simulator comm<strong>and</strong>s . . . . . . . . . . UM-463<br />

VCD output . . . . . . . . . . . . . . UM-464<br />

Capturing port driver data . . . . . . . . . . . . UM-467<br />

Supported TSSI states . . . . . . . . . . . . UM-467<br />

Strength values . . . . . . . . . . . . . UM-468<br />

Port identifier code . . . . . . . . . . . . UM-468<br />

Example VCD output from vcd dumpports . . . . . . UM-469<br />

This chapter describes how to use VCD files in <strong>ModelSim</strong>. The VCD file format is<br />

specified in the IEEE 1364 st<strong>and</strong>ard. It is an ASCII file containing header information,<br />

variable definitions, <strong>and</strong> variable value changes. VCD is in common use for Verilog<br />

designs, <strong>and</strong> is controlled by VCD system task calls in the Verilog source code. <strong>ModelSim</strong><br />

provides comm<strong>and</strong> equivalents for these system tasks <strong>and</strong> extends VCD support to VHDL<br />

designs. The <strong>ModelSim</strong> comm<strong>and</strong>s can be used on VHDL, Verilog, or mixed designs.<br />

If you need vendor-specific ASIC design-flow documentation that incorporates VCD,<br />

please contact your ASIC vendor.<br />

UM-455<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!