24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

G - Logic Modeling SmartModels<br />

Appendix contents<br />

VHDL SmartModel interface . . . . . . . . . . . UM-618<br />

Creating foreign architectures with sm_entity . . . . . UM-619<br />

Vector ports . . . . . . . . . . . . . . UM-621<br />

Comm<strong>and</strong> channel. . . . . . . . . . . . . UM-622<br />

SmartModel Windows . . . . . . . . . . . UM-623<br />

Memory arrays . . . . . . . . . . . . . UM-624<br />

Verilog SmartModel interface . . . . . . . . . . . UM-625<br />

Linking the LMTV interface to the simulator. . . . . . UM-625<br />

The Logic Modeling SWIFT-based SmartModel library can be used with <strong>ModelSim</strong> VHDL <strong>and</strong><br />

Verilog. The SmartModel library is a collection of behavioral models supplied in binary form<br />

with a procedural interface that is accessed by the simulator. This appendix describes how to<br />

use the SmartModel library with <strong>ModelSim</strong>.<br />

The SmartModel library must be obtained from Logic Modeling along with the<br />

documentation that describes how to use it. This appendix only describes the specifics of<br />

using the library with <strong>ModelSim</strong>.<br />

A 32-bit SmartModel will not run with a 64-bit version of <strong>SE</strong>. When trying to load the<br />

operating system specific 32-bit library into the 64-bit executable, the pointer sizes will be<br />

incorrect.<br />

UM-617<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!