24.03.2013 Views

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

ModelSim SE User's Manual - Electrical and Computer Engineering

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Channels Ports Verilog mapping<br />

sc_buffer N/A Not supported on language<br />

boundary<br />

user-defined user-defined Not supported on language<br />

boundary<br />

Data type mapping<br />

SystemC’s sc_signal types are mapped to Verilog types as follows:<br />

SystemC Verilog<br />

bool, sc_bit reg, wire<br />

sc_logic reg, wire<br />

sc_bv reg [width-1:0], wire [width-1:0]<br />

sc_lv reg [width-1:0], wire [width-1:0]<br />

sc_int, sc_uint reg [width-1:0], wire [width-1:0]<br />

char, unsigned char reg [width-1:0], wire [7:0]<br />

int, unsigned int reg [width-1:0], wire [31:0]<br />

long, unsigned long reg [width-1:0], wire [31:0]<br />

sc_bigint,<br />

sc_biguint<br />

sc_fixed,<br />

sc_ufixed<br />

Not supported on language boundary<br />

Not supported on language boundary<br />

short, unsigned short Not supported on language boundary<br />

long long, unsigned long long Not supported on language boundary<br />

float Not supported on language boundary<br />

double Not supported on language boundary<br />

enum Not supported on language boundary<br />

pointers Not supported on language boundary<br />

class Not supported on language boundary<br />

struct Not supported on language boundary<br />

union Not supported on language boundary<br />

bit_fields Not supported on language boundary<br />

Mapping data types UM-197<br />

<strong>ModelSim</strong> <strong>SE</strong> User’s <strong>Manual</strong>

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!