01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

VHDL Syntax<br />

Declare the VHDL constraint as follows:<br />

attribute hlutnm: string;<br />

Specify the VHDL constraint as follows:<br />

attribute hlutnm of instance_name : label is “string_value ”;<br />

where<br />

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

• instance_name is the instance name of an instantiated LUT, or LUTRAM.<br />

• string_value is applied uniquely to two symbols within a given level of hierarchy.<br />

– There is no default value.<br />

– If the value is blank, the constraint is ignored.<br />

For more information about basic VHDL syntax, see VHDL Attributes.<br />

Verilog Syntax<br />

Place the Verilog constraint immediately before the module or instantiation.<br />

Specify the Verilog constraint as follows:<br />

(* HLUTNM = “string_value” *)<br />

where<br />

• string_value is applied uniquely to two symbols within a given level of hierarchy.<br />

• There is no default value.<br />

• If the value is blank, the constraint is ignored.<br />

For more information about basic Verilog syntax, see Verilog Attributes.<br />

UCF and NCF Syntax<br />

INST “symbol_name” HLUTNM=string_value ;<br />

where<br />

• string_value is applied uniquely to two symbols within a given level of hierarchy.<br />

• There is no default value.<br />

• If the value is blank, the constraint is ignored.<br />

XCF Syntax<br />

MODEL “symbol_name” hlutnm = string_value ;<br />

where<br />

• string_value is applied uniquely to two symbols within a given level of hierarchy.<br />

• There is no default value.<br />

• If the value is blank, the constraint is ignored.<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 129

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!