01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 2<br />

Entry Strategies for <strong>Xilinx</strong> <strong>Constraints</strong><br />

<strong>Constraints</strong> Entry Methods<br />

This chapter discusses entry strategies for <strong>Xilinx</strong>® constraints, including how to use<br />

ISE® Design Suite to enter a given constraint type.<br />

The following table shows which feature of ISE® Design Suite to use to enter a given<br />

constraint type.<br />

<strong>Constraints</strong> Entry Methods<br />

Constraint Type Tool Devices<br />

Timing <strong>Constraints</strong> Editor All CPLD and FPGA device<br />

families<br />

IO placement and area-group<br />

constraints<br />

PlanAhead Software All FPGA device families<br />

IO placement PACE All CPLD device families<br />

IO placement and other<br />

placement constraints<br />

<strong>Constraints</strong> Entry Table<br />

Constraint Schematic<br />

Schematic and Symbol Editors All CPLD and FPGA device<br />

families<br />

The following table lists the constraints and their associated entry strategies. See the<br />

individual constraint for syntax examples.<br />

<strong>Constraints</strong> Entry Table<br />

VHDL<br />

Verilog<br />

NCF UCF <strong>Constraints</strong><br />

Editor<br />

PCF XCF Plan-<br />

Ahead<br />

AREA_GROUP Yes Yes Yes Yes Yes<br />

ASYNC_REG Yes Yes Yes Yes<br />

BEL Yes Yes Yes Yes<br />

BLKNM Yes Yes Yes Yes Yes<br />

BUFG (CPLD) Yes Yes Yes Yes Yes<br />

CLOCK_DEDICATED<br />

_ROUTE<br />

Yes Yes<br />

COLLAPSE Yes Yes Yes Yes<br />

COMPGRP Yes<br />

PACE FPGA<br />

Editor<br />

ISE®<br />

Design<br />

Suite<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 25

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!