01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

IBUF_DELAY_VALUE (Input Buffer Delay Value)<br />

The IBUF_DELAY_VALUE (Input Buffer Delay Value) constraint:<br />

• Is a mapping constraint.<br />

Architecture Support<br />

Applicable Elements<br />

• Adds additional static delay to the input path of the FPGA array.<br />

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

• Can be applied to any input or bi-directional signal that is not directly driving a<br />

clock or IOB (Input Output Block) register.<br />

For more information regarding the constraint of signals driving clock and IOB registers,<br />

see IFD_DELAY_VALUE. IBUF_DELAY_VALUE can be set to an integer value from<br />

0-16. The value 0 is the default value, and applies no additional delay to the input<br />

path. A larger value correlates to a larger delay added to input path. These values do<br />

not directly correlate to a unit of time but rather additional buffer delay. For more<br />

information, see the device data sheets.<br />

Applies to Spartan®-3A and Spartan-3E devices.<br />

Any top-level I/O port.<br />

Syntax Examples<br />

The following examples show how to use this constraint with particular tools or<br />

methods. If a tool or method is not listed, you cannot use this constraint with it.<br />

Schematic Syntax<br />

• Attach a new property to the top-level port of the schematic<br />

• Attribute Name<br />

IBUF_DELAY_VALUE<br />

• Attribute Values<br />

0-16<br />

VHDL Syntax<br />

Attach a VHDL attribute to the appropriate top-level port.<br />

attribute IBUF_DELAY_VALUE : string;<br />

attribute IBUF_DELAY_VALUE of top_level_port_name: signal is "value";<br />

a valid value is from 0 to 16.<br />

The following statement assigns an IBUF_DELAY_VALUE increment of 5 to the net<br />

DataIn1<br />

attribute IBUF_DELAY_VALUE : string;<br />

attribute IBUF_DELAY_VALUE of DataIn1: label is "5";<br />

For more information about basic VHDL syntax, see VHDL Attributes.<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 133

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!