01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

LOC Range Constraint Examples<br />

Constraint Description<br />

INST “instance_name “<br />

LOC=SLICE_X3Y5:SLICE_X5Y20;<br />

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

FPGA Place logic in any slice within<br />

the rectangular area bounded by<br />

SLICE_X3Y5 (the lower left corner) and<br />

SLICE_X5Y20 (the upper right corner)<br />

on the XY SLICE grid.<br />

LOC ranges can be supplemented with the keyword SOFT. Unlike AREA_GROUP, LOC<br />

ranges do not influence the packing of symbols. LOC range is strictly a placement<br />

constraint used by PAR.<br />

Following is the LOC syntax for CPLD devices:<br />

INST “instance_name” LOC=pin_name;<br />

or<br />

INST “ instance_name” LOC=FBff ;<br />

or<br />

INST “instance_name” LOC=FB ff_mm;<br />

where<br />

• pin_name is Pnn for numeric pin names or rc for row-column pin names<br />

• ff is a function block number<br />

• mm is a macrocell number within a function block<br />

The two constraint formats for FBff and FBff_mm are only applicable for outputs and<br />

bidirectional pins, not for inputs.<br />

The first constraint format:<br />

INST “instance_name” LOC=pin_name;<br />

is applicable for all types of IO.<br />

Syntax Examples<br />

For examples of legal placement constraints for each type of logic element in FPGA<br />

designs, see Syntax for FPGA Devices for this constraint, and the Relative Location<br />

(RLOC) constraint. Logic elements include flip-flops, ROMs and RAMs, block RAMS,<br />

FMAPs, BUFTs, CLBs, IOBs, I/Os, edge decoders, and global buffers.<br />

The following examples show how to use this constraint with particular tools or<br />

methods. If a tool or method is not listed, you cannot use this constraint with it.<br />

Schematic Syntax<br />

• Attach to an instance<br />

• Attribute Name: LOC<br />

• Attribute Values: value<br />

For valid values, see Syntax for FPGA Devices and Syntax for CPLD Devices for this<br />

constraint.<br />

VHDL Syntax<br />

Declare the VHDL constraint as follows:<br />

attribute loc: string;<br />

Specify the VHDL constraint as follows:<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 161

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!