01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

VHDL Syntax<br />

Declare the VHDL constraint as follows:<br />

Attribute IN_TERM: string;<br />

Specify the VHDL constraint as follows:<br />

attribute IN_TERM of signal_name: signal is “{NONE | TUNED_SPLIT |<br />

UNTUNED_SPLIT_25 | UNTUNED_SPLIT_50 | UNTUNED_SPLIT_75}”;<br />

For more information about basic VHDL syntax, see VHDL Attributes.<br />

Verilog Syntax<br />

Place the Verilog constraint immediately before the module or instantiation.<br />

Specify the Verilog constraint as follows:<br />

(* IN_TERM = “{NONE | TUNED_SPLIT | UNTUNED_SPLIT_25 |<br />

UNTUNED_SPLIT_50 | UNTUNED_SPLIT_75 }” *)<br />

For more information about basic Verilog syntax, see Verilog Attributes.<br />

UCF and NCF Syntax<br />

The following statement configures the IO to use a PULLUP.<br />

NET "pad_net_name"IN_TERM = “{NONE | TUNED_SPLIT | UNTUNED_SPLIT_25<br />

| UNTUNED_SPLIT_50 | UNTUNED_SPLIT_75 }” ;<br />

The following statement configures IN_TERM to be used globally.<br />

DEFAULT IN_TERM = TUNED_SPLIT;<br />

XCF Syntax<br />

BEGIN MODEL “entity_name”<br />

NET "signal_name" in_term=tuned_split;<br />

END;<br />

PlanAhead Syntax<br />

For more information about using the PlanAhead software to create constraints, see<br />

Floorplanning the Design in the PlanAhead User <strong>Guide</strong> (UG632). See PlanAhead in this<br />

<strong>Guide</strong> for information about:<br />

• Defining placement constraints<br />

• Assigning placement constraints<br />

• Defining I/O pin configurations<br />

• Floorplanning and placement constraints<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

138 www.xilinx.com UG625 (v. 13.2) July 6, 2011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!