01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

The wildcard character for an FPGA global buffer, global pad, or DCM locations, is<br />

not supported.<br />

LOC Description for CPLD Devices<br />

LOC Priority<br />

Architecture Support<br />

Applicable Elements<br />

Propagation Rules<br />

Constraint Syntax<br />

For CPLD devices, use the LOC=pin_name constraint on a PAD symbol or pad net to<br />

assign the signal to a specific pin. The PAD symbols are IPAD, OPAD, IOPAD, and<br />

UPAD. You can use the LOC=FBnn constraint on any instance or its output net to assign<br />

the logic or register to a specific function block or macrocell, provided the instance is<br />

not collapsed.<br />

The LOC=FB nn_mm constraint on any internal instance or output pad assigns the<br />

corresponding logic to a specific function block or macrocell within the CPLD. If a LOC<br />

is placed on a symbol that does not get mapped to a macrocell or is otherwise removed<br />

through optimization, the LOC is ignored.<br />

When specifying two adjacent LOC constraints on an input pad and its adjoining net,<br />

the LOC attached to the net has priority. In the following diagram, LOC=11 takes<br />

priority over LOC=38.<br />

LOC Priority Example<br />

Applies to all FPGA devices and all CPLD devices.<br />

For information about which design elements can be used with which device families,<br />

see the Libraries <strong>Guide</strong>s. For more information, see the device data sheet.<br />

For all nets, LOC is illegal when attached to a net or signal except when the net or signal<br />

is connected to a pad. In this case, LOC is treated as attached to the pad instance.<br />

For CPLD nets, LOC attaches to all applicable elements that drive the net or signal.<br />

When attached to a design element, LOC propagates to all applicable elements in the<br />

hierarchy within the design element.<br />

Following is the syntax for a single location:<br />

INST “instance_name” LOC=location;<br />

where<br />

location is a legal location for the part type<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 159

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!