01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Constraint Schematic<br />

VHDL<br />

Verilog<br />

LUTNM Yes Yes Yes Yes<br />

MAP Yes Yes Yes<br />

NCF UCF <strong>Constraints</strong><br />

Editor<br />

Chapter 2: Entry Strategies for <strong>Xilinx</strong> <strong>Constraints</strong><br />

PCF XCF Plan-<br />

Ahead<br />

MARK_DEBUG Yes Yes Yes<br />

PACE FPGA<br />

Editor<br />

MAXDELAY Yes Yes Yes Yes Yes Yes Yes<br />

MAX_FANOUT Yes Yes Yes<br />

MAXPT Yes Yes Yes<br />

MAXSKEW Yes Yes Yes Yes Yes Yes Yes<br />

NODELAY Yes Yes Yes Yes Yes<br />

IODELAY_GROUP Yes<br />

NOREDUCE Yes Yes Yes Yes Yes<br />

OFFSET IN Yes Yes Yes Yes Yes Yes Yes<br />

OFFSET OUT Yes Yes Yes Yes Yes Yes Yes<br />

OPEN_DRAIN Yes Yes Yes Yes Yes<br />

PERIOD Yes Yes Yes Yes Yes Yes Yes Yes Yes<br />

PIN Yes<br />

POST_CRC Yes Yes<br />

POST_CRC_ACTION Yes Yes<br />

POST_CRC_FREQ Yes Yes<br />

POST_CRC_INIT_FLAG Yes Yes<br />

PRIORITY Yes Yes Yes<br />

PROHIBIT Yes Yes Yes Yes Yes<br />

PULLDOWN Yes Yes Yes Yes Yes Yes Yes Yes<br />

PULLUP Yes Yes Yes Yes Yes Yes Yes Yes<br />

PWR_MODE Yes Yes Yes Yes Yes<br />

REG Yes Yes Yes Yes Yes<br />

RLOC Yes Yes Yes Yes Yes Yes<br />

RLOC_ORIGIN Yes Yes Yes Yes Yes Yes<br />

RLOC_RANGE Yes Yes Yes Yes Yes Yes<br />

SAVE NET FLAG Yes Yes Yes Yes Yes<br />

SCHMITT_TRIGGER Yes Yes Yes Yes Yes<br />

SLEW Yes Yes Yes Yes Yes Yes Yes Yes<br />

SLOW Yes Yes Yes Yes Yes Yes Yes Yes<br />

STEPPING Yes<br />

SUSPEND Yes Yes Yes Yes Yes<br />

SYSTEM_JITTER Yes Yes Yes Yes Yes<br />

TEMPERATURE Yes Yes Yes Yes<br />

TIG Yes Yes Yes Yes Yes Yes Yes<br />

ISE®<br />

Design<br />

Suite<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 27

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!