01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

PULLDOWN (Pulldown)<br />

Architecture Support<br />

Applicable Elements<br />

The PULLDOWN (Pulldown) constraint:<br />

• Is a basic mapping constraint.<br />

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

• Guarantees a logic Low level to allow tri-stated nets to avoid floating when not<br />

being driven.<br />

KEEPER, PULLUP, and PULLDOWN are valid only on pad NETs, not on INSTs of<br />

any kind.<br />

Applies to all FPGA devices and the CoolRunner-II CPLD device only.<br />

• Input<br />

• Tristate outputs<br />

Propagation Rules<br />

• Bidirectional pad nets<br />

This constraint is a net constraint. Any attachment to a design element is illegal.<br />

Syntax Examples<br />

The following examples show how to use this constraint with particular tools or<br />

methods. If a tool or method is not listed, you cannot use this constraint with it.<br />

Schematic Syntax<br />

• Attach to a pad net<br />

• Attribute Name<br />

PULLDOWN<br />

• Attribute Values<br />

TRUE<br />

FALSE<br />

VHDL Syntax<br />

Declare the VHDL constraint as follows:<br />

attribute PULLDOWN: string;<br />

Specify the VHDL constraint as follows:<br />

attribute PULLDOWN of signal_name: signal is “{YES|NO|TRUE|FALSE}”;<br />

For more information about basic VHDL syntax, see VHDL Attributes.<br />

Verilog Syntax<br />

Place the Verilog constraint immediately before the module or instantiation.<br />

Specify the Verilog constraint as follows:<br />

(* PULLDOWN = “{YES|NO|TRUE|FALSE}” *)<br />

For more information about basic Verilog syntax, see Verilog Attributes.<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 227

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!