01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Architecture Support<br />

Applicable Elements<br />

Propagation Rules<br />

Applies to all FPGA devices. Does not apply to CPLD devices.<br />

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

When the value is an integer, Max Fanout applies globally, or to a VHDL entity, a Verilog<br />

module, or signal.<br />

When the value is REDUCE, Max Fanout applies only to a signal.<br />

Applies to the entity, module, or signal to which it is attached.<br />

Syntax Examples<br />

The following examples show how to use this constraint with particular tools or<br />

methods. If a tool or method is not listed, you cannot use this constraint with it.<br />

VHDL Syntax<br />

Declare the VHDL constraint as follows:<br />

attribute max_fanout: string;<br />

Specify the VHDL constraint as follows:<br />

attribute max_fanout of {signal_name|entity_name}: {signal|entity} is "integer";<br />

For more information about basic VHDL syntax, see VHDL Attributes.<br />

Verilog Syntax<br />

Place the Verilog constraint immediately before the module or instantiation.<br />

Specify the Verilog constraint as follows:<br />

(* max_fanout = "integer" *)<br />

XCF Syntax Example One<br />

MODEL "entity_name" max_fanout=integer;<br />

XCF Syntax Example Two<br />

BEGIN MODEL "entity_name"<br />

NET "signal_name" max_fanout=integer;<br />

END;<br />

XST Command Line Syntax<br />

Define globally with the -max_fanout command line option of the run command:<br />

-max_fanout integer<br />

ISE Design Suite Syntax<br />

Define globally in ISE Design Suite in Process > Properties > <strong>Xilinx</strong>-Specific Options ><br />

Max Fanout.<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 179

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!