01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

OUT_TERM (Out Term)<br />

The OUT_TERM (Out Term) constraint:<br />

• Is a basic mapping constraint.<br />

• Sets a configuration of output termination resistors<br />

Out Term is valid:<br />

• on an output pad NET<br />

• on an output pad INST<br />

• for the entire design<br />

Architecture Support<br />

Applicable Elements<br />

Applies to FPGA devices. Does not apply to CPLD devices.<br />

This constraint may be used with an FPGA device in one or more of the following design<br />

elements, or categories of design elements:<br />

• IOB input components (such as IBUF)<br />

• Output Pad Net<br />

Propagation Rules<br />

Not all devices support all elements. To see which design elements can be used with<br />

which devices, see the Libraries <strong>Guide</strong>s. For more information, see the device data sheet.<br />

OUT_TERM is illegal when attached to a net or signal, except when the net or signal is<br />

connected to a pad. In this case, OUT_TERM is treated as attached to the pad instance.<br />

Syntax Examples<br />

The following examples show how to use this constraint with particular tools or<br />

methods. If a tool or method is not listed, you cannot use this constraint with it.<br />

Values<br />

• NONE<br />

• TUNED<br />

• UNTUNED_25<br />

• UNTUNED_50<br />

• UNTUNED_75<br />

Schematic Syntax<br />

• Attach to a pad net<br />

• Attribute Name<br />

OUT_TERM<br />

• Attribute Values<br />

See Values section above.<br />

VHDL Syntax<br />

Declare the VHDL constraint as follows:<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

206 www.xilinx.com UG625 (v. 13.2) July 6, 2011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!