01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Entering Multiple <strong>Constraints</strong><br />

File Name<br />

Chapter 2: Entry Strategies for <strong>Xilinx</strong> <strong>Constraints</strong><br />

You can cascade multiple constraints for a given instance in the UCF file:<br />

INST instanceName constraintName = constraintValue | constraintName = constraintValue;<br />

For example:<br />

INST myInst LOC = P53 | IOSTANDARD = LVPECL33 | SLEW = FAST;<br />

By default, NGDBuild reads the constraints file that carries the same name as the input<br />

design with a .ucf extension. However, you can specify a different constraints file name<br />

with the -uc option when running NGDBuild. NGDBuild automatically reads in the<br />

NCF file if it has the same base name as the input EDIF file and is in the same directory<br />

as the EDIF file.<br />

The implementation tools (for example, NGDBuild, MAP, and PAR) require file name<br />

extensions in all lowercase (for example, .ucf) in command lines.<br />

Instances and Blocks<br />

The statements in the constraints file concern instances and blocks, which are defined<br />

as follows.<br />

• An instance is a symbol on the schematic.<br />

• An instance name is the symbol name as it appears in the EDIF netlist.<br />

• A block is a CLB or an IOB.<br />

• Specify the block name with the BLKNM, HBLKNM, or XBLKNM attributes. By<br />

default, the software assigns a block name on the basis of a signal name associated<br />

with the block.<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 35

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!