01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 2: Entry Strategies for <strong>Xilinx</strong> <strong>Constraints</strong><br />

Netlist <strong>Constraints</strong> File (NCF)<br />

<strong>Constraints</strong> Editor<br />

Input/Output<br />

The syntax rules for the Netlist <strong>Constraints</strong> File (NCF) are the same as those for the User<br />

<strong>Constraints</strong> File (UCF). For more information, see User <strong>Constraints</strong> File (UCF) and<br />

Netlist <strong>Constraints</strong> File (NCF) File Syntax.<br />

The <strong>Constraints</strong> Editor is a graphical tool that simplifies the process of entering timing<br />

constraints. This tool guides you through the process of creating constraints without<br />

requiring you to understand User <strong>Constraints</strong> File (UCF) syntax. For the constraints and<br />

devices with which <strong>Constraints</strong> Editor can be used, see <strong>Constraints</strong> Entry Methods. For<br />

information on running <strong>Constraints</strong> Editor, see the ISE® Design Suite Help<br />

<strong>Constraints</strong> Editor is used in the implementation phase after the translation step<br />

(NGCBuild). <strong>Constraints</strong> Editor allows you to create and manipulate constraints<br />

without any direct editing of the UCF. After the constraints are created or modified with<br />

<strong>Constraints</strong> Editor, NGCBuild must be run again, using the new UCF and design source<br />

netlist files as input and generating a new NGD file as output.<br />

<strong>Constraints</strong> Editor requires:<br />

• A User <strong>Constraints</strong> File (UCF)<br />

• A Native Generic Database (NGD) file<br />

<strong>Constraints</strong> Editor uses the NGD file to provide names of logical elements for grouping.<br />

As output, it uses the UCF file.<br />

After you open <strong>Constraints</strong> Editor, you must first open a UCF file. If the UCF and NGD<br />

root names are not the same, you must select the appropriate NGD file to open. For<br />

more information, see the <strong>Constraints</strong> Editor Help.<br />

Upon successful completion, <strong>Constraints</strong> Editor writes out a UCF file. NGCBuild<br />

(translation) uses the UCF file, along with design source netlists, to produce an NGD<br />

file. The NGD file is read by the MAP program. MAP generates a physical design<br />

database in the form of an Native Circuit Description (NCD) file and also generates<br />

a Physical <strong>Constraints</strong> File (PCF). The implementation software uses these files to<br />

ultimately produce a bitstream.<br />

Note Not all <strong>Xilinx</strong>® constraints are accessible through <strong>Constraints</strong> Editor.<br />

Starting <strong>Constraints</strong> Editor<br />

<strong>Constraints</strong> Editor runs on personal computers and workstations. You can start<br />

<strong>Constraints</strong> Editor:<br />

• From ISE Design Suite<br />

• As a Standalone Tool<br />

• From the Command Line<br />

Running <strong>Constraints</strong> Editor From ISE Design Suite<br />

Within ISE Design Suite, launch <strong>Constraints</strong> Editor from the Processes window.<br />

1. Select a design file in the Sources window.<br />

2. Double-click Processes > Design Utilities > User <strong>Constraints</strong> > Create Timing<br />

<strong>Constraints</strong>.<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

38 www.xilinx.com UG625 (v. 13.2) July 6, 2011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!