01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Syntax Examples<br />

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

The following examples show how to use this constraint with particular tools or<br />

methods. If a tool or method is not listed, you cannot use this constraint with it.<br />

Schematic Syntax<br />

• Attach to an instance that is a member of a set<br />

• Attribute Name<br />

RLOC_ORIGIN<br />

• Attribute Values<br />

For a list of the constraint values, see the UCF and NCF Syntax section below.<br />

VHDL Syntax<br />

Declare the VHDL constraint as follows:<br />

attribute rloc_origin: string;<br />

Specify the VHDL constraint as follows:<br />

attribute rloc_origin of {component_name |entity_name|label_name} : {component|entity<br />

|label} is “ value”;<br />

For Spartan®-3, Spartan-3A, Spartan-3E, Virtex®-4 and Virtex-5 devices, value is X mYn.<br />

For a list of the constraint values, see the UCF and NCF Syntax section below.<br />

For more information about basic VHDL syntax, see VHDL Attributes.<br />

Verilog Syntax<br />

Place the Verilog constraint immediately before the module or instantiation.<br />

Specify the Verilog constraint as follows:<br />

(* RLOC_ORIGIN = “ value” *)<br />

For Spartan-3, Spartan-3A, Spartan-3E, Virtex-4 and Virtex-5 devices, value is X mYn.<br />

For a list of the constraint values, see the UCF and NCF Syntax section below.<br />

For more information about basic Verilog syntax, see Verilog Attributes.<br />

UCF and NCF Syntax for Architectures Using Slice-Based XY Coordinates<br />

This section applies to Spartan-3, Spartan-3A, Spartan-3E, Virtex-4, and Virtex-5 devices.<br />

RLOC_ORIGIN=X mYn<br />

where<br />

m and n are positive or negative integers (including zero) representing relative X and Y<br />

coordinates, respectively<br />

The following statement specifies that an instantiation of FF1, which is a member of a<br />

set, be placed in the slice at X4Y4 relative to FF1.<br />

INST “/archive/designs/FF1” RLOC_ORIGIN=X4Y4;<br />

For example, if RLOC=X0Y2 for FF1, then the instantiation of FF1 is placed in the slice<br />

that is:<br />

• 0 rows to the right of X4<br />

• 2 rows up from Y4 (X4Y6)<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 253

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!