01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

SYSTEM_JITTER (System Jitter)<br />

Architecture Support<br />

Applicable Elements<br />

Propagation Rules<br />

The SYSTEM_JITTER (System Jitter) constraint:<br />

• Specifies the system jitter of the design.<br />

• Depends on design conditions such as:<br />

– the number of flip-flops changing at one time<br />

– the number of I/Os changing<br />

• Applies globally to all clocks in the design.<br />

• Is combined with the INPUT_JITTER keyword on the PERIOD constraint, as well<br />

as any jitter or phase error in the clock network, to generate the Clock Uncertainty<br />

value that is shown in the timing report.<br />

Applies to FPGA devices. Does not apply to CPLD devices.<br />

Applies to the entire design.<br />

Not applicable<br />

Syntax Examples<br />

The following examples show how to use this constraint with particular tools or<br />

methods. If a tool or method is not listed, you cannot use this constraint with it.<br />

Values<br />

value is a numerical value. The default is ps.<br />

Schematic Syntax<br />

• Attach to a valid instance<br />

• Attribute Name<br />

SYSTEM_JITTER<br />

• Attribute Values<br />

See Values section above.<br />

VHDL Syntax<br />

Declare the VHDL constraint as follows:<br />

attribute SYSTEM_JITTER: string;<br />

Specify the VHDL constraint as follows:<br />

attribute SYSTEM_JITTER of {component_name | signal_name | entity_name |<br />

label_name}: {component | signal | entity| label} is “value ps”;<br />

For more information about basic VHDL syntax, see VHDL Attributes.<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

272 www.xilinx.com UG625 (v. 13.2) July 6, 2011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!