01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Multi-Cycle Paths<br />

Chapter 3: Timing Constraint Strategies<br />

The generic syntax for defining a timing ignore (TIG) between time groups is:<br />

TIMESPEC "TSid" = FROM "SRC_GRP" TO "DST_GRP" TIG;<br />

In the FROM-TO TIG example, the SRC_GRP defines the set of source registers in<br />

which path tracing will begin from while the DST_GRP defines the set of destination<br />

registers the path tracing will end at. All paths that begin in the SRC_GRP and end in<br />

the DST_GRP will be ignored.<br />

The specific syntax for this example is:<br />

NET “CLK1” TNM_NET = FFS “GRP_1”;<br />

NET “CLK2” TNM_NET = FFS “GRP_2”;<br />

TIMESPEC TS_Example = FROM “GRP_1” TO “GRP_2” TIG;<br />

A multi-cycle path is a path in which data is transferred from source to destination<br />

register at a rate that is less than the clock frequency defined in the PERIOD specification.<br />

This scenario most often occurs when the registers are gated with a common clock<br />

enable signal. By defining a multi-cycle path, the timing constraints for these registers<br />

will be relaxed over the default PERIOD constraint, and the implementation tools will<br />

be able to prioritize the implementation of these paths appropriately.<br />

To specify the FROM-TO multi-cycle constraint:<br />

1. Define a PERIOD constraint for the common clock domain<br />

2. Define a set of registers based on a common clock enable signal<br />

3. Define a FROM-TO multi-cycle constraint describing the new timing requirement<br />

Example<br />

This example shows a hypothetical case in which a path between two registers is clocked<br />

by a common clock enable signal. The clock enable is toggled at a rate that is one half of<br />

the reference clock. A block diagram of the example circuit is shown in the figure below.<br />

The generic syntax for defining a multi-cycle path between time groups is:<br />

TIMESPEC “TSid” = FROM “MC_GRP” TO “MC_GRP” value;<br />

In the FROM-TO multi-cycle example, the MC_GRP defines the set of registers which<br />

are driven by a common clock enable signal. All paths that begin in the MC_GRP and<br />

end in the MC_GRP will have the multi-cycle timing requirement applied to them while<br />

paths into and out of the MC_GRP will be analyzed with the appropriate PERIOD<br />

specification.<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

UG625 (v. 13.2) July 6, 2011 www.xilinx.com 65

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!