01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: <strong>Xilinx</strong> <strong>Constraints</strong><br />

NODELAY (No Delay)<br />

The NODELAY (No Delay) constraint:<br />

• Is an advanced mapping constraint.<br />

The default configuration of IOB flip-flops in designs includes an input delay that<br />

results in an external hold time on the input data path. This delay can be removed<br />

by placing NODELAY on input flip-flops or latches, resulting in a smaller setup<br />

time but a positive hold time. The input delay element is active in the default<br />

configuration for Spartan®-3, Spartan-3A, and Spartan-3E devices.<br />

• Can be attached to I/O symbols and the following special function access symbols:<br />

– TDI<br />

– TMS<br />

– TCK<br />

Architecture Support<br />

Applicable Elements<br />

Spartan-3, Spartan-3A, and Spartan-3E devices are supported.<br />

IOBDELAY=NONE, which is applicable to all FPGA devices, is the preferred method<br />

of applying this constraint. For more information see IOBDELAY (Input Output Block<br />

Delay).<br />

Input register<br />

Propagation Rules<br />

You can also attach NODELAY to a net connected to a pad component in a User<br />

<strong>Constraints</strong> File (UCF). NGDBuild transfers the constraint from the net to the pad<br />

instance in the NGD file so that it can be processed by the mapper. Use the following<br />

UCF syntax:<br />

NET “ net_name” NODELAY;<br />

NODELAY is illegal when attached to a net or signal except when the net or signal is<br />

connected to a pad. In this case, NODELAY is treated as attached to the pad instance.<br />

When attached to a design element, NODELAY is propagated to all applicable elements<br />

in the hierarchy within the design element.<br />

Syntax Examples<br />

The following examples show how to use this constraint with particular tools or<br />

methods. If a tool or method is not listed, you cannot use this constraint with it.<br />

Schematic Syntax<br />

• Attach to a valid instance<br />

• Attribute Name<br />

NODELAY<br />

• Attribute Values<br />

TRUE<br />

FALSE<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

190 www.xilinx.com UG625 (v. 13.2) July 6, 2011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!