01.07.2013 Views

Xilinx Constraints Guide

Xilinx Constraints Guide

Xilinx Constraints Guide

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 2: Entry Strategies for <strong>Xilinx</strong> <strong>Constraints</strong><br />

Constraint Schematic<br />

VHDL<br />

Verilog<br />

NCF UCF <strong>Constraints</strong><br />

Editor<br />

PCF XCF Plan-<br />

Ahead<br />

TIMEGRP Yes Yes Yes Yes Yes Yes<br />

TIMESPEC Yes Yes Yes Yes Yes<br />

TNM Yes Yes Yes Yes Yes<br />

TNM_NET Yes Yes Yes Yes Yes Yes<br />

TPSYNC Yes Yes Yes<br />

TPTHRU Yes Yes Yes Yes<br />

PACE FPGA<br />

Editor<br />

TSidentifier Yes Yes Yes Yes Yes Yes<br />

U_SET Yes Yes Yes Yes Yes<br />

USE_RLOC Yes Yes Yes Yes Yes Yes<br />

VCCAUX Yes Yes<br />

VCCAUX_IO Yes Yes Yes Yes<br />

VOLTAGE Yes Yes Yes Yes<br />

VREF Yes Yes Yes<br />

WIREAND Yes Yes Yes Yes<br />

XBLKNM Yes Yes Yes Yes Yes<br />

Schematic Design<br />

VHDL Attributes<br />

ISE®<br />

Design<br />

Suite<br />

Follow these rules to add <strong>Xilinx</strong>® constraints as attributes within a symbol or schematic<br />

drawing:<br />

• If a constraint applies to a net, add it as an attribute to the net.<br />

• If a constraint applies to an instance, add it as an attribute to the instance.<br />

• You cannot add global constraints such as PART and PROHIBIT.<br />

• You cannot add any timing specifications that would be attached to a TIMESPEC<br />

or TIMEGRP.<br />

• Enter attribute names and values in either all uppercase or all lowercase. Mixed<br />

uppercase and lowercase is not allowed.<br />

For more information about creating, modifying, and displaying attributes, see the<br />

Schematic and Symbol Editors Help.<br />

The syntax for any constraint that can be entered in a schematic is described in the<br />

section for that constraint. For an example of correct schematic syntax, see the Schematic<br />

Syntax Example in BEL.<br />

In VHDL code, constraints can be specified with VHDL attributes. Before it can be used,<br />

a constraint must be declared with the following syntax:<br />

attribute attribute_name : string;<br />

Example<br />

attribute RLOC : string;<br />

<strong>Constraints</strong> <strong>Guide</strong><br />

28 www.xilinx.com UG625 (v. 13.2) July 6, 2011

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!