10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 4: About Design ElementsV<strong>HDL</strong> Instantiation TemplateUnless they already exist, copy the following two statements and paste them be<strong>for</strong>e the entity declaration.Library UNISIM;use UNISIM.vcomponents.all;-- BUFR: Regional Clock Buffer <strong>for</strong> I/O and Logic Resources-- <strong>Virtex</strong>-6-- <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2BUFR_inst : BUFRgeneric map (BUFR_DIVIDE => "BYPASS", -- Defines whether the output clock is a divided version of input clock.SIM_DEVICE => "VIRTEX4")port map (O => O,-- 1-bit Clock output port. This port drives the clock tracks in the clock region of the BUFR-- and the two adjacent clock regions. This port drives FPGA fabric, and IOBs.CE => CE, -- 1-bit Clock enable port. When asserted Low, this port disables the output clock at port O.-- When asserted High, this port resets the counter used to produce the divided clock output.CLR => CLR, -- 1-bit Counter reset <strong>for</strong> divided clock output. When asserted High, this port resets the-- counter used to produce the divided clock output.I => I-- 1-bit Clock input port. This port is the clock source port <strong>for</strong> BUFR. It can be driven by-- BUFIO output or local interconnect.);-- End of BUFR_inst instantiationVerilog Instantiation Template// BUFR: Regional Clock Buffer /w Enable, Clear and Division Capabilities// <strong>Virtex</strong>-4/5, <strong>Virtex</strong>-6// <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2BUFR #(.BUFR_DIVIDE("BYPASS"), // "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8".SIM_DEVICE("VIRTEX4") // Specify target device, "VIRTEX4", "VIRTEX5", "VIRTEX6") BUFR_inst (.O(O), // Clock buffer output.CE(CE), // Clock enable input.CLR(CLR), // Clock buffer reset input.I(I) // Clock buffer input);// End of BUFR_inst instantiationFor More In<strong>for</strong>mationSee the <strong>Virtex</strong>-6 FPGA User Documentation (User <strong>Guide</strong>s and Data Sheets).<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>UG623 (v 11.4) December 2, 2009 www.xilinx.com 109

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!