10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Port Descriptions..........................................................................................................................112Design Entry Method ...................................................................................................................112For More In<strong>for</strong>mation...................................................................................................................113CFGLUT5...........................................................................................................................................114Introduction.................................................................................................................................114Port Descriptions..........................................................................................................................114Design Entry Method ...................................................................................................................115Available Attributes .....................................................................................................................115For More In<strong>for</strong>mation...................................................................................................................116DCIRESET..........................................................................................................................................117Introduction.................................................................................................................................117Port Descriptions..........................................................................................................................117Design Entry Method ...................................................................................................................117Available Attributes .....................................................................................................................117For More In<strong>for</strong>mation...................................................................................................................118DNA_PORT .......................................................................................................................................119Introduction.................................................................................................................................119Port Descriptions..........................................................................................................................119Design Entry Method ...................................................................................................................119Available Attributes .....................................................................................................................120For More In<strong>for</strong>mation...................................................................................................................120DSP48E1 ............................................................................................................................................121Introduction.................................................................................................................................121Port Descriptions..........................................................................................................................122Design Entry Method ...................................................................................................................124Available Attributes .....................................................................................................................124For More In<strong>for</strong>mation...................................................................................................................129EFUSE_USR .......................................................................................................................................130Introduction.................................................................................................................................130Port Descriptions..........................................................................................................................130Design Entry Method ...................................................................................................................130Available Attributes .....................................................................................................................130For More In<strong>for</strong>mation...................................................................................................................131FDCE .................................................................................................................................................132Introduction.................................................................................................................................132Logic Table ..................................................................................................................................132Design Entry Method ...................................................................................................................132Available Attributes .....................................................................................................................132For More In<strong>for</strong>mation...................................................................................................................133FDPE .................................................................................................................................................134Introduction.................................................................................................................................134Logic Table ..................................................................................................................................134Design Entry Method ...................................................................................................................134Available Attributes .....................................................................................................................134For More In<strong>for</strong>mation...................................................................................................................134FDRE .................................................................................................................................................135Introduction.................................................................................................................................135Logic Table ..................................................................................................................................135Design Entry Method ...................................................................................................................135Available Attributes .....................................................................................................................135For More In<strong>for</strong>mation...................................................................................................................135FDSE..................................................................................................................................................136Introduction.................................................................................................................................136Logic Table ..................................................................................................................................136Design Entry Method ...................................................................................................................136Available Attributes .....................................................................................................................136For More In<strong>for</strong>mation...................................................................................................................136FIFO18E1 ...........................................................................................................................................137Introduction.................................................................................................................................137<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>6 www.xilinx.com UG623 (v 11.4) December 2, 2009

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!