10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: About Design ElementsPort Type Width FunctionECCPARITY[7:0] Output 8 8-bit data generated by the ECC encoder used by the ECCdecoder <strong>for</strong> memory error detection and correction.EMPTY Output 1 Active high logic to indicate that the FIFO is currentlyempty.FULL Output 1 Active high logic indicates that the FIFO is full.INJECTDBITERR Input 1 Inject a double bit error if ECC feature is used.INJECTSBITERR Input 1 Inject a single bit error if ECC feature is used.RDEN Input 1 Active high FIFO read enable.REGCE Input 1 Output register clock enable <strong>for</strong> pipelined synchronousFIFO.RST Input 1 Active high (FIFO logic) asynchronous reset (<strong>for</strong> dual-clockFIFO), synchronous reset (synchronous FIFO) <strong>for</strong> 3 CLKcycles.RSTREG Input 1 Output register synchronous set/reset.SBITERR Output 1 Status output from ECC function to indicate a single biterror was detected. EN_ECC_READ needs to be TRUE inorder to use this functionality.WRCLK, RDCLK Input 1 FIFO read and write clocks (positive edge triggered).WRCOUNT,RDCOUNTOutput 13 FIFO write/read pointer.WREN Input 1 Active high FIFO write enable.WRERR,RDERROutput 1 • WRERR indicates that a write occurred while the FIFOwas full.• RDERR indicates that a read occurred while the FIFOwas empty.Design Entry MethodInstantiationInferenceCORE Generator and wizardsMacro supportYesNoNoRecommendedAvailable AttributesAttribute Type Allowed Values Default DescriptionALMOST_EMPTY_OFFSETALMOST_FULL_OFFSETHexadecimalHexadecimal13’h0000 to 13’h8191 13’h0080 Specifies the amount of datacontents in the RAM to trigger theALMOST_EMPTY flag.13’h0000 to 13’h8191 13’h0080 Specifies the amount of datacontents in the RAM to trigger theALMOST_FULL flag.DATA_WIDTH Integer 4, 9, 18, 36, 72 4 Specifies the desired data width <strong>for</strong>the FIFO.DO_REG Integer 1, 0 1 Enable output register to the FIFO <strong>for</strong>improved clock-to-out timing at theexpense of added read latency (one<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>142 www.xilinx.com UG623 (v 11.4) December 2, 2009

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!