10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Table of Contents<strong>Xilinx</strong> Trademarks and Copyright In<strong>for</strong>mation......................................................................................... 2Chapter 1 About this <strong>Guide</strong>......................................................................................................................... 15Design Entry Methods.......................................................................................................................... 15Chapter 2 About Unimacros........................................................................................................................ 17BRAM_SDP_MACRO .......................................................................................................................... 18Introduction.................................................................................................................................. 18Port Description ............................................................................................................................ 18Configuration Table....................................................................................................................... 19Design Entry Method .................................................................................................................... 19Available Attributes ...................................................................................................................... 19For More In<strong>for</strong>mation.................................................................................................................... 26BRAM_SINGLE_MACRO .................................................................................................................... 27Introduction.................................................................................................................................. 27Port Description ............................................................................................................................ 27Configuration Table....................................................................................................................... 28Design Entry Method .................................................................................................................... 30Available Attributes ...................................................................................................................... 30For More In<strong>for</strong>mation.................................................................................................................... 35BRAM_TDP_MACRO.......................................................................................................................... 36Introduction.................................................................................................................................. 36Port Description ............................................................................................................................ 36Configuration Table....................................................................................................................... 37Design Entry Method .................................................................................................................... 39Available Attributes ...................................................................................................................... 39For More In<strong>for</strong>mation.................................................................................................................... 45FIFO_DUALCLOCK_MACRO ............................................................................................................. 46Introduction.................................................................................................................................. 46Port Description ............................................................................................................................ 46Configuration Table....................................................................................................................... 47Design Entry Method .................................................................................................................... 47Available Attributes ...................................................................................................................... 48For More In<strong>for</strong>mation.................................................................................................................... 49FIFO_SYNC_MACRO .......................................................................................................................... 50Introduction.................................................................................................................................. 50Port Description ............................................................................................................................ 50Configuration Table....................................................................................................................... 51Design Entry Method .................................................................................................................... 51Available Attributes ...................................................................................................................... 52For More In<strong>for</strong>mation.................................................................................................................... 53ADDMACC_MACRO .......................................................................................................................... 54Introduction.................................................................................................................................. 54Port Description ............................................................................................................................ 54Design Entry Method .................................................................................................................... 55Available Attributes ...................................................................................................................... 55For More In<strong>for</strong>mation.................................................................................................................... 56ADDSUB_MACRO .............................................................................................................................. 57Introduction.................................................................................................................................. 57Port Description ............................................................................................................................ 57Design Entry Method .................................................................................................................... 57Available Attributes ...................................................................................................................... 58For More In<strong>for</strong>mation.................................................................................................................... 59COUNTER_LOAD_MACRO ................................................................................................................ 60Introduction.................................................................................................................................. 60Port Description ............................................................................................................................ 60Design Entry Method .................................................................................................................... 60<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>UG623 (v 11.4) December 2, 2009 www.xilinx.com 3

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!