10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: About Design ElementsV<strong>HDL</strong> Instantiation TemplateUnless they already exist, copy the following two statements and paste them be<strong>for</strong>e the entity declaration.Library UNISIM;use UNISIM.vcomponents.all;-- MUXF8_D: CLB MUX to tie two MUXF7’s together with general and local outputs-- For use with all FPGAs-- <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2MUXF8_D_inst : MUXF8_Dport map (LO => LO, -- Ouptut of MUX to local routingO => O, -- Output of MUX to general routingI0 => I0, -- Input (tie to MUXF7 LO out)I1 => I1, -- Input (tie to MUXF7 LO out)S => S -- Input select to MUX);-- End of MUXF8_D_inst instantiationVerilog Instantiation Template// MUXF8_D: CLB MUX to tie two MUXF7’s together with general and local outputs// For use with all FPGAs// <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2MUXF8_D MUXF8_D_inst (.LO(LO), // Ouptut of MUX to local routing.O(O), // Output of MUX to general routing.I0(I0), // Input (tie to MUXF7 LO out).I1(I1), // Input (tie to MUXF7 LO out).S(S) // Input select to MUX);// End of MUXF8_D_inst instantiationFor More In<strong>for</strong>mationSee the <strong>Virtex</strong>-6 FPGA User Documentation (User <strong>Guide</strong>s and Data Sheets).<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>238 www.xilinx.com UG623 (v 11.4) December 2, 2009

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!