10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

For More In<strong>for</strong>mation...................................................................................................................188LUT5 .................................................................................................................................................189Introduction.................................................................................................................................189Logic Table ..................................................................................................................................190Port Description ...........................................................................................................................191Design Entry Method ...................................................................................................................191Available Attributes .....................................................................................................................191For More In<strong>for</strong>mation...................................................................................................................192LUT5_D .............................................................................................................................................193Introduction.................................................................................................................................193Logic Table ..................................................................................................................................194Port Description ...........................................................................................................................195Design Entry Method ...................................................................................................................195Available Attributes .....................................................................................................................195For More In<strong>for</strong>mation...................................................................................................................196LUT5_L..............................................................................................................................................197Introduction.................................................................................................................................197Logic Table ..................................................................................................................................198Port Description ...........................................................................................................................199Design Entry Method ...................................................................................................................199Available Attributes .....................................................................................................................199For More In<strong>for</strong>mation...................................................................................................................200LUT6 .................................................................................................................................................201Introduction.................................................................................................................................201Logic Table ..................................................................................................................................201Port Description ...........................................................................................................................203Design Entry Method ...................................................................................................................203Available Attributes .....................................................................................................................204For More In<strong>for</strong>mation...................................................................................................................204LUT6_2 ..............................................................................................................................................205Introduction.................................................................................................................................205Logic Table ..................................................................................................................................205Port Descriptions..........................................................................................................................207Design Entry Method ...................................................................................................................207Available Attributes .....................................................................................................................207For More In<strong>for</strong>mation...................................................................................................................208LUT6_D .............................................................................................................................................209Introduction.................................................................................................................................209Logic Table ..................................................................................................................................209Port Description ...........................................................................................................................211Design Entry Method ...................................................................................................................211Available Attributes .....................................................................................................................212For More In<strong>for</strong>mation...................................................................................................................212LUT6_L..............................................................................................................................................213Introduction.................................................................................................................................213Logic Table ..................................................................................................................................213Port Description ...........................................................................................................................215Design Entry Method ...................................................................................................................215Available Attributes .....................................................................................................................216For More In<strong>for</strong>mation...................................................................................................................216MMCM_ADV.....................................................................................................................................217Introduction.................................................................................................................................217Port Descriptions..........................................................................................................................217Design Entry Method ...................................................................................................................219Available Attributes .....................................................................................................................219For More In<strong>for</strong>mation...................................................................................................................223MMCM_BASE ....................................................................................................................................224Introduction.................................................................................................................................224Port Descriptions..........................................................................................................................224<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>UG623 (v 11.4) December 2, 2009 www.xilinx.com 9

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!