10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 4: About Design ElementsV<strong>HDL</strong> Instantiation Template-- ICAP_VIRTEX6: Internal Configuration Access Port-- <strong>Virtex</strong>-6-- <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2ICAP_VIRTEX6_inst : ICAP_VIRTEX6generic map (ICAP_AUTO_SWITCH => "DISABLE",ICAP_WIDTH => "X8")port map (BUSY => BUSY,O => O,CLK => CLK,CSB => CSB,I => I,RDWRB => RDWRB);-- Specifies the input and output data width to be used with the-- ICAP_VIRTEX6.-- 1-bit Busy/Ready output-- 32-bit Configuration data output bus-- 1-bit Clock Input-- 1-bit Active-Low ICAP Enable-- 32-bit Configuration data input bus-- 1-bit Read/Write Select-- End of ICAP_VIRTEX6_inst instantiationVerilog Instantiation Template// ICAP_VIRTEX6: Internal Configuration Access Port// <strong>Virtex</strong>-6// <strong>Xilinx</strong> <strong>HDL</strong> Language Template, version 11.1ICAP_VIRTEX6 #(.ICAP_AUTO_SWITCH("DISABLE"),.ICAP_WIDTH("X8"))ICAP_VIRTEX6_inst (.BUSY(BUSY), // 1-bit Busy/Ready output.O(O),// 32-bit Configuration data output bus.CLK(CLK), // 1-bit Clock Input.CSB(CSB), // 1-bit Active-Low ICAP Enable.I(I),// 32-bit Configuration data input bus.RDWRB(RDWRB) // 1-bit Read/Write Select);// End of ICAP_VIRTEX6_inst instantiation// Specifies the input and output data width to be used with the// ICAP_VIRTEX6.For More In<strong>for</strong>mationSee the <strong>Virtex</strong>-6 FPGA User Documentation (User <strong>Guide</strong>s and Data Sheets).<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>UG623 (v 11.4) December 2, 2009 www.xilinx.com 163

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!