10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: About Design ElementsV<strong>HDL</strong> Instantiation TemplateUnless they already exist, copy the following two statements and paste them be<strong>for</strong>e the entity declaration.Library UNISIM;use UNISIM.vcomponents.all;-- FDCE: Single Data Rate D Flip-Flop with Asynchronous Clear and-- Clock Enable (posedge clk). All families.-- <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2FDCE_inst : FDCEgeneric map (INIT => ’0’) -- Initial value of register (’0’ or ’1’)port map (Q => Q, -- Data outputC => C, -- Clock inputCE => CE, -- Clock enable inputCLR => CLR, -- Asynchronous clear inputD => D -- Data input);-- End of FDCE_inst instantiationVerilog Instantiation Template// FDCE: Single Data Rate D Flip-Flop with Asynchronous Clear and// Clock Enable (posedge clk).// All families.// <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2FDCE #(.INIT(1’b0) // Initial value of register (1’b0 or 1’b1)) FDCE_inst (.Q(Q), // Data output.C(C), // Clock input.CE(CE), // Clock enable input.CLR(CLR), // Asynchronous clear input.D(D) // Data input);// End of FDCE_inst instantiationFor More In<strong>for</strong>mationSee the <strong>Virtex</strong>-6 FPGA User Documentation (User <strong>Guide</strong>s and Data Sheets).<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>UG623 (v 11.4) December 2, 2009 www.xilinx.com 133

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!