10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: About Design ElementsV<strong>HDL</strong> Instantiation TemplateUnless they already exist, copy the following two statements and paste them be<strong>for</strong>e the entity declaration.Library UNISIM;use UNISIM.vcomponents.all;-- BSCAN_VIRTEX6: <strong>Virtex</strong>-6 JTAG Boundary-Scan Logic Access-- <strong>Virtex</strong>-6-- <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2BSCAN_VIRTEX6_inst : BSCAN_VIRTEX6generic map (DISABLE_JTAG => FALSE,JTAG_CHAIN => 1)port map (-- Chain number.CAPTURE => CAPTURE, -- 1-bit Scan Data Register Capture instruction.DRCK => DRCK,-- 1-bit Scan Clock instruction. DRCK is a gated version of TCTCK, it toggles during-- the CAPTUREDR and SHIFTDR states.RESET => RESET, -- 1-bit Scan register reset instruction.RUNTEST => RUNTEST, -- 1-bit Asserted when TAP controller is in Run Test Idle state. Make sure is the-- same name as BSCAN primitive used in Spartan products.);SEL => SEL,SHIFT => SHIFT,TCK => TCK,TDI => TDI,TMS => TMS,UPDATE => UPDATE,TDO => TDO-- 1-bit Scan mode Select instruction.-- 1-bit Scan Chain Shift instruction.-- 1-bit Scan Clock. Fabric connection to TAP Clock pin.-- 1-bit Scan Chain Output. Mirror of TDI input pin to FPGA.-- 1-bit Test Mode Select. Fabric connection to TAP.-- 1-bit Scan Register Update instruction.-- 1-bit Scan Chain Input.-- End of BSCAN_VIRTEX6_inst instantiationVerilog Instantiation Template// BSCAN_VIRTEX6: <strong>Virtex</strong>-6 JTAG Boundary-Scan Logic Access// <strong>Virtex</strong>-6// <strong>Xilinx</strong> <strong>HDL</strong> Language Template, version 11.1BSCAN_VIRTEX6 #(.DISABLE_JTAG("FALSE"),.JTAG_CHAIN(1))BSCAN_VIRTEX6_inst (// Chain number..CAPTURE(CAPTURE), // 1-bit Scan Data Register Capture instruction..DRCK(DRCK),// 1-bit Scan Clock instruction. DRCK is a gated version of TCTCK, it toggles during// the CAPTUREDR and SHIFTDR states..RESET(RESET), // 1-bit Scan register reset instruction..RUNTEST(RUNTEST), // 1-bit Asserted when TAP controller is in Run Test Idle state. Make sure is the same// name as BSCAN primitive used in Spartan products.);.SEL(SEL),.SHIFT(SHIFT),.TCK(TCK),.TDI(TDI),.TMS(TMS),.UPDATE(UPDATE),.TDO(TDO)// 1-bit Scan mode Select instruction.// 1-bit Scan Chain Shift instruction.// 1-bit Scan Clock. Fabric connection to TAP Clock pin.// 1-bit Scan Chain Output. Mirror of TDI input pin to FPGA.// 1-bit Test Mode Select. Fabric connection to TAP.// 1-bit Scan Register Update instruction.// 1-bit Scan Chain Input.// End of BSCAN_VIRTEX6_inst instantiationFor More In<strong>for</strong>mationSee the <strong>Virtex</strong>-6 FPGA User Documentation (User <strong>Guide</strong>s and Data Sheets).<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>UG623 (v 11.4) December 2, 2009 www.xilinx.com 85

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!