10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: About Design ElementsV<strong>HDL</strong> Instantiation TemplateUnless they already exist, copy the following two statements and paste them be<strong>for</strong>e the entity declaration.Library UNISIM;use UNISIM.vcomponents.all;-- CAPTURE_VIRTEX6: <strong>Virtex</strong>-6 Readback Register Capture Control-- <strong>Virtex</strong>-6-- <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2CAPTURE_VIRTEX6_inst : CAPTURE_VIRTEX6generic map (ONESHOT => TRUE -- Specifies the procedure <strong>for</strong> per<strong>for</strong>ming single readback per CAP trigger.)port map (CAP => CAP, -- 1-bit Readback capture triggerCLK => CLK -- 1-bit Readback capture clock);-- End of CAPTURE_VIRTEX6_inst instantiationVerilog Instantiation Template// CAPTURE_VIRTEX6: <strong>Virtex</strong>-6 Readback Register Capture Control// <strong>Virtex</strong>-6// <strong>Xilinx</strong> <strong>HDL</strong> Language Template, version 11.1CAPTURE_VIRTEX6 #(.ONESHOT("TRUE") // Specifies the procedure <strong>for</strong> per<strong>for</strong>ming single readback per CAP trigger.)CAPTURE_VIRTEX6_inst (.CAP(CAP), // 1-bit Readback capture trigger.CLK(CLK) // 1-bit Readback capture clock);// End of CAPTURE_VIRTEX6_inst instantiationFor More In<strong>for</strong>mationSee the <strong>Virtex</strong>-6 FPGA User Documentation (User <strong>Guide</strong>s and Data Sheets).<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>UG623 (v 11.4) December 2, 2009 www.xilinx.com 111

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!