10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

Chapter 4: About Design ElementsV<strong>HDL</strong> Instantiation TemplateUnless they already exist, copy the following two statements and paste them be<strong>for</strong>e the entity declaration.Library UNISIM;use UNISIM.vcomponents.all;-- MUXF7_L: CLB MUX to tie two MUXF6’s together with local output-- For use with all FPGAs-- <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2MUXF7_L_inst : MUXF7_Lport map (LO => LO, -- Output of MUX to local routingI0 => I0, -- Input (tie to MUXF6 LO out or LUT6 O6 pin)I1 => I1, -- Input (tie to MUXF6 LO out or LUT6 O6 pin)S => S -- Input select to MUX);-- End of MUXF7_L_inst instantiationVerilog Instantiation Template// MUXF7_L: CLB MUX to tie two LUT6’s or MUXF6’s together with local output// For use with all FPGAs// <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2MUXF7_L MUXF7_L_inst (.LO(LO), // Output of MUX to local routing.I0(I0), // Input (tie to MUXF6 LO out or LUT6 O6 pin).I1(I1), // Input (tie to MUXF6 LO out or LUT6 O6 pin).S(S) // Input select to MUX);// End of MUXF7_L_inst instantiationFor More In<strong>for</strong>mationSee the <strong>Virtex</strong>-6 FPGA User Documentation (User <strong>Guide</strong>s and Data Sheets).<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>234 www.xilinx.com UG623 (v 11.4) December 2, 2009

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!