10.07.2015 Views

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

Xilinx Virtex-6 Libraries Guide for HDL Designs

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

Chapter 4: About Design ElementsKEEPERPrimitive: KEEPER SymbolIntroductionThe design element is a weak keeper element that retains the value of the net connected to its bidirectional O pin.For example, if a logic 1 is being driven onto the net, KEEPER drives a weak/resistive 1 onto the net. If the netdriver is then 3-stated, KEEPER continues to drive a weak/resistive 1 onto the net.Port DescriptionsName Direction Width FunctionO Output 1-Bit Keeper outputDesign Entry MethodInstantiationInferenceCORE Generator and wizardsMacro supportYesRecommendedNoNoV<strong>HDL</strong> Instantiation TemplateUnless they already exist, copy the following two statements and paste them be<strong>for</strong>e the entity declaration.Library UNISIM;use UNISIM.vcomponents.all;-- KEEPER: I/O Buffer Weak Keeper-- All FPGA, CoolRunner-II-- <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2KEEPER_inst : KEEPERport map (O => O -- Keeper output (connect directly to top-level port));-- End of KEEPER_inst instantiationVerilog Instantiation Template// KEEPER: I/O Buffer Weak Keeper// All FPGA, CoolRunner-II// <strong>Xilinx</strong> <strong>HDL</strong> <strong>Libraries</strong> <strong>Guide</strong>, version 11.2KEEPER KEEPER_inst (.O(O) // Keeper output (connect directly to top-level port));// End of KEEPER_inst instantiation<strong>Virtex</strong>-6 <strong>Libraries</strong> <strong>Guide</strong> <strong>for</strong> <strong>HDL</strong> <strong>Designs</strong>UG623 (v 11.4) December 2, 2009 www.xilinx.com 185

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!