29.01.2015 Views

STM32F101xx, STM32F102xx, STM32F103xx, STM32F105xx and ...

STM32F101xx, STM32F102xx, STM32F103xx, STM32F105xx and ...

STM32F101xx, STM32F102xx, STM32F103xx, STM32F105xx and ...

SHOW MORE
SHOW LESS

You also want an ePaper? Increase the reach of your titles

YUMPU automatically turns print PDFs into web optimized ePapers that Google loves.

RM0008<br />

Connectivity line devices: reset <strong>and</strong> clock control (RCC)<br />

Bits 11:8 PLL2MUL[3:0]: PLL2 Multiplication Factor<br />

Set <strong>and</strong> cleared by software to control PLL2 multiplication factor. These bits can be written<br />

only when PLL2 is disabled.<br />

00xx: Reserved<br />

010x: Reserved<br />

0110: PLL2 clock entry x 8<br />

0111: PLL2 clock entry x 9<br />

1000: PLL2 clock entry x 10<br />

1001: PLL2 clock entry x 11<br />

1010: PLL2 clock entry x 12<br />

1011: PLL2 clock entry x 13<br />

1100: PLL2 clock entry x 14<br />

1101: Reserved<br />

1110: PLL2 clock entry x 16<br />

1111: PLL2 clock entry x 20<br />

Bits 7:4 PREDIV2[3:0]: PREDIV2 division factor<br />

Set <strong>and</strong> cleared by software to select PREDIV2 division factor. These bits can be written only<br />

when both PLL2 <strong>and</strong> PLL3 are disabled.<br />

0000: PREDIV2 input clock not divided<br />

0001: PREDIV2 input clock divided by 2<br />

0010: PREDIV2 input clock divided by 3<br />

0011: PREDIV2 input clock divided by 4<br />

0100: PREDIV2 input clock divided by 5<br />

0101: PREDIV2 input clock divided by 6<br />

0110: PREDIV2 input clock divided by 7<br />

0111: PREDIV2 input clock divided by 8<br />

1000: PREDIV2 input clock divided by 9<br />

1001: PREDIV2 input clock divided by 10<br />

1010: PREDIV2 input clock divided by 11<br />

1011: PREDIV2 input clock divided by 12<br />

1100: PREDIV2 input clock divided by 13<br />

1101: PREDIV2 input clock divided by 14<br />

1110: PREDIV2 input clock divided by 15<br />

1111: PREDIV2 input clock divided by 16<br />

Doc ID 13902 Rev 9 135/995

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!