15.01.2013 Views

U. Glaeser

U. Glaeser

U. Glaeser

SHOW MORE
SHOW LESS

Create successful ePaper yourself

Turn your PDF publications into a flip-book with our unique Google optimized e-Paper software.

13. Nakashima, S., et al., Thickness increment of buried oxide in a SIMOX wafer by high-temperature<br />

oxidation, Proc. Of IEEE Int’l SOI Conf., p. 71, 1994.<br />

14. Isaji, H., et al., Volume production in ELTRAN SOI-epi wafers, ECS Proc. 10th Int. Symp. On SOI<br />

Tech. and Devices, 2001-3, p. 45, 2001.<br />

15. Shahidi, G. G., et al., Partially depleted SOI technology for digital logic, ISSCC Digest of Technical<br />

Papers, ISSCC, p. 426, Feb. 1999.<br />

16. Allen, D. H., et al., A 0.2 µm 1.8 V SOI 550 MHz 64b PowerPC microprocessor with copper<br />

interconnects, ISSCC Digest of Technical Papers, ISSCC, p. 448, Feb. 1999.<br />

17. Kim, Y. W., et al., A 0.25 µm 600 MHz 1.5 V SOI 64b ALPHA microprocessor, ISSCC Digest of<br />

Technical Papers, ISSCC, p. 432, Feb. 1999.<br />

18. Buchholtz, T. C., et al., A 660 MHz 64b SOI processor with Cu interconnects, ISSCC Digest of<br />

Technical Papers, ISSCC, p. 88, Feb. 2000.<br />

19. Shahidi, G. G., Silicon on insulator technology for the pervasive systems’ technology, Digest of COOL<br />

Chips, Keynote Presentation 1, p. 3, April, 2001.<br />

20. Wei, A., et al., Measurement of transient effects in SOI DRAM/SRAM access transistors, IEEE Electron<br />

Device Letters, vol. 17, pp. 193–195, 1996.<br />

21. Wei, A., et al., Minimizing floating-body-induced threshold voltage variation in partially depleted<br />

SOI CMOS, IEEE Electron Device Letters, vol. 17, pp. 391–394, 1996.<br />

22. Ito, M., et al., Fully depleted SIMOX SOI process technology for low power digital and RF device,<br />

ECS Proc. 10th Int. Symp. On SOI Tech. and Devices, 2001-3, p. 331, 2001.<br />

23. Tsuchiya, T., Stability and reliability of fully-depleted SOI MOSFETs, Proc. SPIE Symp. On Microelectronic<br />

Device and Multilevel Interconnection Tech. II, p. 16, 1996.<br />

24. Mutoh, S., et al., 1-V high-speed digital circuit technology with 0.5 µm multi-threshod CMOS, Proc.<br />

of IEEE International ASIC Conf., p. 186, 1993.<br />

25. Nakata, S., et al., A low power multiplier using adiabatic charging binary decision diagram circuit,<br />

Ext. Abstracts of the SSDM, p. 444, 1999.<br />

26. Suzuki, Y., et al., Development of an integrated wristwatch-type PHS telephone, NTT REVIEW, 10,<br />

6, p. 86, 1998.<br />

27. Douseki, T., et al., A 0.5 V SIMOX-MTCMOS circuit with 200 ps logic gate, ISSCC Digest of Technical<br />

Papers, p. 84, Feb. 1996.<br />

28. Matsuya, Y., et al., 1 V power supply, low-power consumption A/D conversion technique with swingsuppression<br />

noise shaping, IEEE Journal of Solid-State Circuits, 29, p. 1524, 1994.<br />

29. Harada, M., et al., Low dc power Si-MOSFET L- and C-band low noise amplifiers fabricated by<br />

SIMOX technology, IEICE Trans. Electron., E82-C, 3, p. 553, 1999.<br />

30. Harada, M., et al., 0.5–1 V 2 GHz RF front-end circuits in CMOS/SIMOX, ISSCC Digest of Technical<br />

Papers, p. 378, Feb. 2000.<br />

31. Eggert, D., et al., A SOI-RF-CMOS technology on high resistivity SIMOX substrates for microwave<br />

applications to 5 GHz, IEEE Trans. Electron Devices, 44, 11, p. 1981, 1997.<br />

© 2002 by CRC Press LLC

Hooray! Your file is uploaded and ready to be published.

Saved successfully!

Ooh no, something went wrong!